Open Source VHDL Verification Methodology (OSVVM)

OSVVM is a suite of libraries designed to streamline your VHDL entire verification process, boosting productivity and reducing development time. Each library provides independent capabilities, allowing selective adoption and a learn-as-you-go approach. Whether using directed or random testing, OSVVM facilitates writing concise and readable test cases for both unit/RTL tests and complex FPGA and ASIC tests.

OSVVM provides VHDL with verification capabilities that rival SystemVerilog + UVM. These include transaction-level modeling, verification components, co-simulation with software, randomized test generation, self-checking test support, verification data structures, comprehensive test reporting in HTML and text, and synchronization primitives.

With OSVVM and a good team lead, any VHDL engineer can do verification – and have fun doing it.

The latest OSVVM library is included in the installation of the latest versions of Active-HDL and Riviera-PRO.

Primary Use Case

OSVVM allows any VHDL engineer to write VHDL testbenches and test cases for both simple unit/RTL level tests and complex, randomized full chip or system level tests.

Benefits

Developed by VHDL experts who actively contribute to VHDL standards development, OSVVM leverages their expertise to provide the following advanced verification capabilities and benefits:

Webinar Video: Better FPGA Verification with VHDL Part 1 - OSVVM: Leading Edge Verification for the VHDL Community

Looking to improve your VHDL FPGA verification methodology? OSVVM is an ideal solution. It has all the pieces needed for verification. There is no new language to learn. It is simple, powerful, and concise. Also, each piece can be used separately, so you can learn and adopt pieces as you need them.

In part 1 of this webinar series, we provide a broad overview of OSVVM's capabilities and discuss the OSVVM verification framework, verification components, self-checking tests made easy, simplifying test printing with OSVVM logs, constrained random tests, scoreboards, functional coverage, and intelligent coverage random, protocol and parameter checks, test watch dog timers and test reporting.

 

 

Other Webinar Recordings for OSVVM

Additional Links



Printed version of site: support.aldec.com/en/solutions/functional_verification/osvvm