Active-HDL の高速波形ビュワーでのステミュレータの使用

はじめに

Active-HDL は、コマンドラインで信号やネットの値を変更できますが、ステミュレータを設定し、適用するためのグラフィカルインタフェースも提供しています。 ステミュレータは、信号やネットに接続されるユーザーが定義したステミュラスの仮想ソースになります。ステミュレータによる値のフォースは、ステミュレータタイプとそのオプションパラメータで指定した方法による定数または時間変化の何れかになります。

Active-HDLは、高速波形ビュワーで表示されている信号へのステミュレータを設定し、適用するためのグラフィカルインターフェースを提供しています。インターフェイスは、ステミュレータダイアログボックスとして提供されています(詳細については、ユーザーガイド内のDialog Box Reference | Stimulators Dialog Boxを参照してください)。

ステミュレータの設定方法

  1. Active-HDLを起動

  2. デザインをコンパイルし、イニシャルシミュレーションを実行

    NOTE: 要求に応じてデザインオブジェクトへの適切なアクセス権を設定することが重要です。 詳細に関しては、ヘルプメニューのユーザーガイド内Dialog Box Reference | Design Settings Dialog Box を参照してください。

  3. File | New | Waveform を選択し、空の波形ウィンドウを開きます。

  4. トップユニットを選択し、波形ウィンドウにドラッグします.

  5. 波形ウィンドウの右クリックメニューからStimulators オプションを使用して、ステミュレータ・ダイアログボックスを開きます。

  6. Active-HDLでサポートしているステミュレータの適切なタイプを選択します。プロパティを定義し適切なstrengthを選択します。

  7. 必要なステミュラスの設定が完了後、コンソールウィンドウでrun –all コマンドを入力し、シミュレーションを実行します。シミュレーション結果は、波形上に表示されます。

  8. シミュレーションセッションを終了するには、Simulation メニューからEnd Simulation を選択するか、コンソールウィンドウでendsim コマンドを入力します。



Printed version of site: support.aldec.com/jp/support/resources/documentation/articles/1751