White Papers

-OR-
リセット

Results

Name Products Type Action
ASICおよびFPGAデザインでのリセットとリセットドメインクロッシング   
このホワイトペーパーでは、ASICおよびFPGAデザインのリセット関連の問題、およびよく使用される安全なリセット実装についての設計手法の概要について解説します。さらにリセットドメインクロッシング効果とその影響を緩和する方法についても解説します。LINTツールは設計者にとって、リセットとリセットドメインクロッシング検証に役立ちます。
ALINT-PRO ホワイトペーパー
Aldec DO-254 Solutions Blueprint   
The Federal Aviation Administration (FAA) recognizes the use of commonly used tools for FPGA design and verification such as RTL Simulator, Synthesis, Place & Route and Static Timing Analysis. For DAL A and B FPGAs, the FAA also recognizes other tools that improve design, verification, traceability and project management including Requirements Management, Traceability, Tests Management, Design Rule Checker, Clock Domain Crossings (CDC) Analysis, Code Coverage and FPGA Physical Test Systems.
Active-HDL, ALINT-PRO, Spec-TRACER, DO-254/CTS ホワイトペーパー
FPGA世界におけるクロックドメインクロッシング   
クロックドメインクロッシング(CDC)の問題により、ASICおよびFPGAデバイスで多大な障害が発生しています。FPGAの複雑さと性能が向上するにつれて、CDCの問題がデザイン機能に与える影響はさらに大きくなっています。本紙では、CDCの問題とFPGAデザインのソリューションについて解説します。XilinxおよびIntel FPGAデバイスの実例とともに、さまざまなデザイン手法を紹介しています。 さらに重要なことに、本紙では信頼性の高いFPGAデザインの最も重要なCDCのガイドラインについてまとめています。
ALINT-PRO ホワイトペーパー
Finding CDC Issues Before They Find You: Advanced CDC Verification for DO-254 Compliance   
Clock domain crossings (CDCs) in FPGAs represent a probabilistic opportunity for failure. Functional simulation and static timing analysis tools are insufficient. Finding and addressing metastability and data incoherence around CDCs require static and dynamic analysis of FPGA designs. Aldec ALINT-PRO-CDC provides enhanced confidence that CDCs are located and fully mitigated.
ALINT-PRO ホワイトペーパー
RTLとネットリストの等価性の達成:リントは必須!   
シミュレーションと論理合成のミスマッチの問題は、物理デバイスの誤動作を引き起こす可能性があります。RTLシミュレーションで機能的に完璧であっても、物理的な実装で重大なデザインバグが含まれている可能性があります。RTLリントは、シミュレーションと論理合成のミスマッチ問題を特定して修正する唯一の方法です。本紙では、シミュレーションから論理合成への典型的なミスマッチ問題を簡単な例で示します。 記載されている問題ごとに、リントチェックで確認され、説明されます。
Active-HDL, Riviera-PRO, ALINT-PRO ホワイトペーパー
大容量FPGAデバイスの最適設計手法   
最新のFPGA技術の進歩と大規模FPGAデバイスのリリースにより、デザインチームは高品質のHDLコードを作成する際に今まで以上に多くの課題に直面しています。機能検証と実装段階で時間を節約するためには、デザインプロセスの初期段階から設計の品質を確保することがますます重要になります。ASICの設計フローでは、Lintツール(デザインルールチェッカーと呼ばれることもあります)は、設計ライフサイクルの初期段階で設計品質を保証し、プロジェクトライフサイクル全体にわたってこの品質を維持します。
Riviera-PRO, ALINT-PRO ホワイトペーパー
6 results
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.