アルデック、DVCon U.S. 2017でネットワーク・オンチップ(NoC)デザインを利用したUVMシミュレーション・アクセラレーションをデモ

Date: 2017/02/23
Type: Release

Henderson, Nev. – 2017年2月23日 – ASIC/FPGAデザイン向けHDL混合言語シミュレーションとハードウェア・アシステッド・ベリフィケーションのパイオニアである Aldec, Inc. (以下「アルデック」)は、米国カリフォルニア州サンノゼで2017年2月27日から3月2日まで開催される DVCon U.S. Conference and Exhibition で、ハードウェア・インループによるASICのシリコン前検証のスペクトラムを展示します。 

 

UVMシミュレーション・アクセラレーション

アルデックはHDLシミュレーションで30年以上の経験があり、最新のSoCデザイン・検証のニーズを深く理解しています。この経験の中から、UVM, SystemVerilog, OSVVM, VHDL-2008 および TLM/SystemC をサポートする高性能HDLシミュレータ Riviera-PRO™ が生まれました。Riviera-PROをハードウェア・インループで実行することで、シミュレーションは100倍単位でさらに高速化されます。DVCon U.S.で展示するライブデモでは、どのようにして130倍以上のシミュレーション・アクセラレーションを実現しているかをお見せします。使用するデザインはアルデックの HES™ FPGA ボード上で動くネットワーク・オンチップ(NoC)のリファレンスデザインで、Riviera-PRO上で実行されるトランザクションレベルUVMテストベンチでドライブされます。ブースにお立ち寄り頂ければ、体系的にユニバーサルUVMドライバとモニタをSCE-MIトランザクタとして構築する方法についてご説明します。この方法は純粋なシミュレーションでも、シミュレーションとエミュレーションの混在環境でも活用できます。最新リリースのRiviera-PROでは、SCE-MIコードテンプレートも用意されています。 SV DPI-C の関数、マクロおよびパイプで構成されるSCE-MI準拠のトランザクタが短期間で開発できます。また、シミュレーション・エミュレーションに合わせて特注のトランザクタを短時間で作る方法もご紹介します。エミュレーション用のテストベンチを作る作業は大変という思い込みをなくしましょう。

アルデックのハードウェアベリフィケーション・プロダクトマネージャ Krzysztof Szczur のコメント:
「アルデックはUVMコンポーネントの構造を変更する必要がないアプローチを完成させました。SCE-MI SV-Connectガイドラインに基づいて SystemVerilog DPI-C のトランザクションレイヤCコードを自動生成できるようにし、DPI-C関数・タスクをエミュレータ向けに合成可能なコードに変換するSCE-MIコンパイラを開発しました。これで、UVMテストベンチをシミュレーションとエミュレーションで簡単に共用し相互動作できるようになります。これにより、検証機能、カバレッジ、性能を最大限引き出せるようになります。」

アルデックのマーケティングディレクター Louie De Luna のコメント:
「2017年の DVCon Conference で、アルデックは新しいHESボードのリリースも発表します。このボードは Xilinx® Virtex™ UltraScale™ FPGAの中でも最大のUS440を搭載しており、UltraScale FPGAモジュールと Zynq™-7000 デバイスを組み合わせた唯一のボードです。ARM® Cortex™ プロセッサで実行されるテストベンチのインプリメントや、PCI Expressインタフェースを持つホストワークステーションコントローラなどとして利用することができます。」

 

アルデックについて

アルデックは米国ネバダ州ヘンダーソンに本社を置く、エレクトロニクス・デザイン検証のインダストリ・リーダです。RTL設計、RTLシミュレータ、ハードウェア・アシステッド・ベリフィケーション、SoC/ASICプロトタイピング、デザインルールチェック、CDC検証、IPコア、要求ライフサイクル管理、DO-254機能検証、組込みソリューションおよび軍事/航空宇宙向けソリューションといったパテントを取得したテクノロジを提供しています。 www.aldec.com

Media Contact:          
アルデック・ジャパン株式会社
宮島 健
03-5312-1791
sales-jp@aldec.com

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.