ERROR VCP2000 "Syntax error. Unexpected token: library[_IDENTIFIER]. Expected tokens: 'function' , 'task' , 'timeprecision' , 'timeunit' , 'const' ... ."

内容

Riviera-PRO でスクリプトを実行した場合、上記エラーが発生します。このエラーは何ですが、また解決方法はありますか?

ソリューション

これは、シンタックスエラーについての一般的なメッセージです以下のコードではセミコロン;)がモジュール名の最後で記述されていませんこれはVCP2000メッセージをトリガにしています:

module m //VCP2000
endmodule

また、VHDLファイルをコンパイルする際、alog/vlog コマンドを使用しでも上記エラーが発生します。Riviera-PRO で使用するスクリプト内で、デザインファイルをコンパイルするときに使用するコマンドに対して注意してください。Verilog/ SystemVerilogのファイルはalog/vlog コマンドを使用しVHDLファイルは、acom/vcom コマンドを使用してコンパイルする必要があります。

この問題が解決しない場合、サポートポータル.を使用してサポートチームに問い合わせを行ってください。

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.