Active-HDL EDU 製品構成

Features EDU Edition[Hide]
デザイン・エントリおよびドキュメンテーション
PDF/HTML/Bitmap画像へのエクスポート
デザインの複雑度が増し、IPの再利用が一般的になり、デザイン・チームが複数階、多部門、さらには複数の国にまたがるようになるにつれて、デザインしたコンポーネントの素早いドキュメンテーションがますます重要な課題になっています。 More   
yes
HDL/テキスト/ブロックダイアグラム/ステートマシン・エディタ
Active-HDLでは、色々な種類の記述を混ぜてデザインすることができます。デザインは、テキストのHDLコードや、ブロック・ダイアグラム、ステート・ダイアグラムなどで作れます。 More   
yes
Code2Graphics
Code2Graphics More   
yes
コード生成ツール
IPコア・ジェネレータ
IPコア・ジェネレータはActive-HDLに組込のツールで、パラメータ設定可能なモジュールを集めたものです。モジュールはVHDLやVerilogで記述したシステムに組み込むことができます。 More   
yes
波形からのテストベンチ生成
機能検証をスピードアップするために強力なテストベンチ自動生成機能を開発しました。波形エディタから作った波形やシミュレーション中に作られた波形から、デザイン・ユニットのテストベンチを生成させることができます。 More   
yes
プロジェクト管理
マルチデザイン・ワークスペースのサポート
Active-HDLでは、複数のデザインを同時に開いて1つの上位プロジェクトにまとめることができます。 More   
yes
全FPGAメーカー向けデザイン・フロー・マネージャ
デザイン・フロー・マネージャとは、Altera®, Atmel®, Lattice®, Microsemi™(Actel), Quicklogic®, Xilinx®その他メーカーから提供されるシミュレーション・ツール、合成ツール、インプリメンテーション・ツールを1つの開発環境に統合し、設定、制約、実行などを管理するツールです。 More   
yes
標準サポート
VHDL IEEE 1076(1993, 2002,2008および2019)
アルデックのシミュレータはIEEE 1076-1993規格とIEEE 1076™-2002 VHDLおよびIEEE 1076™-2008規格を完全にサポートします。 More   
Note (1) and (2)
Verilog® HDL IEEE 1364(1995, 2001および2005)
アルデックのシミュレータはIEEE 1364-2005規格を完全にサポートしています。レガシーから新規まで、バラエティ豊富なVerilogデザインをシミュレーションできるように、アルデックのシミュレータはVerilog '95モード、2001モードおよび2005モードで稼働させることができます。 More   
Note (1) and (2)
SystemC™ 2.3.1 IEEE 1666/TLM 2.0
SystemCはハードウェアのモデリングを可能にするC言語を拡張したCライブラリです。厳密にはCのクラスライブラリですが、SystemCは独自の言語であると見られる場合があります。 More   
Note (1) and (2)
SystemVerilog IEEE 1800 - 2012 - デザイン
SystemVerilogはVerilogの拡張セットで、高い抽象度のモデリングと大規模デジタル・システムの効率的な検証を可能にします。 More   
Note (1) and (2)
EDIF 2 0 0
EDIF 2 0 0フォーマットのネットリストのシミュレーションはほとんどのアルデックのシミュレータでサポートされています。 More   
Note (1) and (2)
シミュレーション/ベリフィケーション
Verilog Programming Language Interface(PLI/VPI)
Verilog PLI(Programming Language Interface)とVPI(Verilog Procedural Interface)はシミュレーションするVerilogモデルのデータにアクセスして修正するための標準的なインタフェースです。 More   
yes
デバッグおよび解析
Accelerated Waveform Viewer (ASDB)
Accelerated Waveform Viewer (ASDB) More   
yes
Language Assistant with Templates and Auto-complete
Language Assistant with Templates and Auto-complete More   
yes
協調シミュレーション・インターフェース
MathWorks MATLAB®
アルデックのシミュレータとMathWorks社の直感的なMATLAB言語とテクニカル・コンピューティング環境を統合します。 More   
yes
ライセンス・タイプ
ノードロック/フローティング・ライセンス
ノードロック/フローティング・ライセンス More   
yes
1年間・時限ライセンス
1年間・時限ライセンス(年間ライセンス、TBL)は1年ごとに製品の使用権を与えるライセンスです。TBLには1年間のサポート契約が付いてきます。 More   
yes
サポート・プラットフォーム
Windows® 10/8.1/8/7/Vista/2012/2008/2003 - (32-Bit)
ビルドはWindows10を含む、最新プラットフォームのすべてでテストされ、ユーザのワークステーションで正しく動作することを確認しています。 More   
yes
Note (1) and (2) - Simulation performance limitations compared to full commercial release of Active-HDL:
Performance Restrictions: 4x slow down
Capacity Restrictions: 20,000 instances - 20x slow down.
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.