あいまいなサブプログラム

概要:

コンパイル時に下記エラーが発生しました。コンパイラはVHDL オペレーションが曖昧であると出力します。何が問題ですか?

Error: COMP96_0334: .vhd , Subprogram "" is ambiguous> 

ソリューション:

STD_LOGIC_VECTORとSTD_ULOGIC_VECTORタイプの両方によって定義され、オーバーロードされた関数をあるようです。追加の不要なサブプログラムを削除する必要があります。  問題のあるサブプログラムを使用してコードブロックを削除/コメントアウトする代わりに、-vhdl_comp_off -2008 と-vhdl_comp_on プラグマを使用することができることに注意してください。プラグマは、初期のスタンダードバージョンとの下位互換性を維持する-2008 モードでサブプログラムのコンパイルを無効にします。-vhdl_comp_off プラグマの詳細に関してはマニュアルを参照してください。

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.