Assure robustness of Finite State Machines and Reset Domain Crossings – via early static checks

Date: Jan 17, 2018
Type: In the News

Aldec expands advanced verification capabilities of its ALINT-PRO™ design rule checking solution

Henderson, NV – January 17th, 2018 – Aldec, Inc., an industry leader in Electronic Design Verification, has expanded the rule-checking capabilities of its popular ALINT-PRO™ tool in response to growing complexity of large-scale modern FPGA and ASIC designs. Rules new to the 2017.12 release of ALINT-PRO™ assure the integrity of a design’s Finite State Machines (FSMs) and help identify possible Reset Domain Crossing (RDC) issues.

 

“In large designs it is typical to have several FSMs controlling the workflow. However, despite the use of consistent HDL patterns to describe the FSMs, the number of coding mistakes made in the RTL descriptions is often high” observes Sergei Zaychenko, Aldec Software Product Manager. “At Aldec, we are aiming to provide the most comprehensive rule coverage to help designers create reliable, portable, and highly readable state machine descriptions.”

 

Verification of RDCs, also new to the 2017.12 release of ALINT-PRO™, targets issues with complex SoC reset strategies and circuits with dynamically switchable regions. For instance, a harmless looking data transfer between registers with unrelated asynchronous reset controls may end with unrecoverable metastability, unless properly addressed at the RTL design phase.

 

ALINT-PRO 2017.12 Highlights

  • Automatic extraction of FSM descriptions directly from VHDL and Verilog/SystemVerilog RTL code, accompanied with comprehensive FSM rule coverage
  • Introduced support of RDC verification
  • Re-architected verification solution for synchronous reset signals
  • Expanded Aldec SystemVerilog Design rule library with more than 20 new rule checks
  • Over 20 new rule checks and numerous existing rule enhancements that facilitate automated code reviews for VHDL designs, as well as clock/reset trees consistency with design constraints
  • Extended design constraints support with automatic topology-based SDC/ADC drafts generation that covers essential timing properties, placement hints for vendor synthesis tools, as well as block-level constraints for black boxes based on aggregated data from external net connections
  • Added automatic generation of black box components for unresolved VHDL design units
  • Full coverage of block-level constraints for Lattice FPGA libraries for advanced CDC analysis

 

About ALINT-PRO

ALINT-PRO™ is a design verification solution for RTL code written in VHDL, Verilog and SystemVerilog, which is focused on verifying coding style and naming conventions, RTL and post-synthesis simulation mismatches, smooth and optimal synthesis, reliable and portable FSM descriptions, avoiding problems on further design stages, clocks and reset tree issues, CDC/RDC, DFT, and coding for portability and reuse. The solution performs static analysis based on RTL and SDC™ source files uncovering critical design issues early in the design cycle, which in turn reduces design signoff time dramatically.

 

The 2017.12 release of ALINT-PRO includes numerous new features, usability enhancements, and performance optimizations. For additional information, tutorials, free evaluation download and What’s New Presentation, visit https://www.aldec.com/Products/ALINT-PRO.

 

About Aldec

Aldec Inc., headquartered in Henderson, Nevada, is an industry leader in Electronic Design Verification and offers a patented technology suite including: RTL Design, RTL Simulators, Hardware-Assisted Verification, SoC and ASIC Emulation/Prototyping, Design Rule Checking, CDC Verification, IP Cores, Requirements Lifecycle Management, DO-254 Functional Verification, High-Performance Computing and Military/Aerospace solutions. www.aldec.com

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.