Functional Coverage (Covergroup)

Category : Assertions and Coverage Tools

Functional Coverage can provide information about the quality of the design verification process. It operates at a higher level than Statement Coverage. Instead of checking whether HDL statements executed or not, Functional Coverage can verify whether functionality defined in the design specification was examined and whether testbench stimuli cover all scenarios necessary for testing this functionality.

Covergroup Coverage is a user-defined metric that measures the percentage of design specification that has been examined with simulation. This type of Functional Coverage allows verifying whether interesting and relevant design features (listed within the verification plan) have been observed using the generated stimuli. In contrast with Assertion Coverage (which is used to verify design features over time), Covergroup Coverage is focused on covering relevant values ever accepted during the entire simulation (requires manual specification of coverage objects and instantiation within testbench code).

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.