SystemVerilog IEEE 1800 DPI 2.0

Category : Simulation/Verification

DPI, or the Direct Programming Interface, is the next generation interface between SystemVerilog code and foreign C/C++ code. The DPI specification in IEEE 1800-2005™ allows calling both C/C++ foreign code from SystemVerilog and calling SystemVerilog tasks and functions from C/C++. The straightforward DPI implementation and deployment approach in Riviera-PRO allows calls to C/C++ code from SystemVerilog.

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.