Subprograms in VHDL

Description

I received the following error message when I was trying to compile a VHDL file. What I can do to fix it?

Error: COMP96_0321: C:/../<File_Name>.vhd : Subprogram specification of the body must conform to the subprogram specification of the declaration.

Solution

There are two solutions:

  1. Please use -relax switch to allow compile files which not follow strictly the LRM:

    Example:
    acom -relax …
    

    ...

  2. Or you can correct the subbrogram declaration:

    • On package body exist:

    • function <Function_name> (in_value : in integer) return string

    • On package declaration:

    • function <Function_name> (in_value : integer) return string

    • Please update the package declaration for:

    • function <Function_name> (in_value : in integer) return string

Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.