FAQ

-OR-
Reset

Results

Name Products Type Action
Can I put some of my many modules in Hardware and additional modules later without having to re-compile?    HES-DVM, HES-EDU FAQ
Does HES-DVM include any tools for prototyping?    HES-DVM, HES-EDU FAQ
Does HES-DVM provide a scripting interface?    HES-DVM FAQ
Does HES-DVM support FPGA Hard-Marcros?    HES-DVM FAQ
Does HES-DVM support Xilinx ChipScope PRO Debugging Tool?    HES-DVM FAQ
How do I change the Verilog timescale for the HES-DVM generated wrapper file?    HES-DVM, HES-EDU FAQ
How do I download the bit files compiles from HES-DVM in HES-proto mode?    HES-DVM FAQ
How do you build a job queue for running emulation using HES-DVM? Can I submit the emulation jobs without the manual control? Please advise how to build multi-user environment for HES emulation.     HES-DVM, HES-EDU FAQ
How does HES-DVM handle gated clocks in my design?    HES-DVM FAQ
How does HES-DVM handle simulation memory models?    HES-DVM FAQ
I have EDIF net lists in my design, how do I use these files in HES-DVM    HES-DVM, HES-EDU FAQ
I received a license file by email from Aldec - Is this all I need for the software to work?    Active-HDL, Riviera-PRO, ALINT-PRO, ALINT-PRO-CDC, ALINT, HES-DVM, HES-7, RTAX and RTSX Prototyping, Spec-TRACER, DO-254/CTS FAQ
In HES-DVM, is it possible to select any of the probes in RTL signal name in the HW debugger? Or is it necessary to link Riviera-PRO or Verdi server to have full visibility of RTL signal for the selection of probes?    HES-DVM FAQ
In the HES-DVM generated SystemC wrapper, there are some interface signals which are not in the list of I/O signals of DUT, what is the purpose of these signals?    HES-DVM FAQ
Is it possible to change how much of the FPGA is utilized during the partitioning process of my design in HES-DVM?    HES-DVM, HES-EDU FAQ
Is it possible to run parallel tasks during implementation stage of HES-DVM to speed up implementation time?    HES-DVM, HES-EDU FAQ
License error: Invalid hostid on SERVER line    Active-HDL, Riviera-PRO, ALINT-PRO, ALINT-PRO-CDC, ALINT, HES-DVM, HES-7, RTAX and RTSX Prototyping, Spec-TRACER, DO-254/CTS FAQ
What is group synthesis? What is incremental synthesis? How are both used in HES-DVM?    HES-DVM FAQ
What is the hardware capacity supported by HES?    HES-DVM, HES-EDU FAQ
What languages does HES support?    HES-DVM FAQ
27 results (page 1/2)
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.