Aldec Design and Verification Blog

Trending Articles
What inspired you to become an engineer?
National Engineering Week is February 22-28

This week, February 22-28, we celebrate National Engineers Week in the US to recognize the contributions to society that engineers make. During this time, there is added emphasis in schools on the importance of learning...

Like(1) Comments (3) Read more
Back from DAC
Functional Verification Insights from Austin

I just returned  back to the office from the 50th Design Automation Conference (DAC) which took place in Austin, TX, on June 2—6. As I began compiling my trip report, I thought that I might share some of my observations, especially for those who couldn’t attend this industry event but still wanted to gain some insight....

Like(3) Comments (0) Read more
Register for Aldec Technical Sessions & Demos at DAC 2013
Advanced Verification, HW/SW Emulation, and more

This year’s Design Automation Conference (DAC) will be held in Austin, Texas.  If we survive the 70% humidity, our team looks forward to meeting you at Booth #2225 from June 3-5. Aldec HQ is located in Nevada just outside of Las Vegas… so we’re accustomed to more of a dry heat....

Like(1) Comments (0) Read more
ARM Cortex SoC Prototyping Platform
for Industrial Applications

Modern industrial systems are faced with many key design challenges including: system complexity, real-time performance requirements, evolving standards, and rising costs. ASIC prototyping platforms, such as Aldec HES-7™, provide a platform for designers to implement and verify functionality of...

Like(1) Comments (0) Read more
Fast Track™ to SystemVerilog for Verilog Users
Aldec’s Latest Free Online Training

Many experienced Verilog users tend to ignore SystemVerilog - mainly because high-end verification features of the new language are getting the majority of the  attention in the press, and at conferences and trade shows. Those users may not realize that there are many SystemVerilog features that are very useful for...

Like(1) Comments (0) Read more
Aldec in the Classroom
Of Today’s Top Engineering Universities

Aldec’s University  Program is committed to providing future engineers with world-class tools for their digital system designs and verification methodologies.  These tools are offered at a lower cost to educational facilities who meet the university program requirements. In addition, students...

Like(1) Comments (0) Read more
Camouflage for Your HDL Code

Designers may deliberately obfuscate HDL code to conceal its purpose (security through obscurity) or its logic, in order to prevent tampering and deter reverse engineering. The obfuscated code is unreadable to the receiving user, but is still readable to compilers and simulators. This way obfuscation also comes...

Like(1) Comments (0) Read more
2012: Emulation's Big Year
And a Look Ahead to 2013

2012 was an exceptional year for Aldec globally. The company exceeded revenue expectations across all product offerings, the most significant changes coming from Emulation. Aldec continued to see double digit growth in our traditional market areas of FPGA and ASIC HDL Verification...

Like(1) Comments (0) Read more
Interoperability of Project Tasks
Between Riviera-PRO and ALINT

The Tasks window in Riviera-PRO and ALINT can be used to manage tasks that need to be completed in the design. Tasks can be anything that a user would like to address later in the project. In a team-based environment where multiple engineers are working with different aspects of the same design...

Like(1) Comments (0) Read more
Those Pesky SystemVerilog Interfaces...

SystemVerilog introduced numerous ideas new to Verilog programmers. Some of them enhanced hardware descriptions (e.g. always_ff block), some were meant to enhance verification (e.g. classes) and some were cross-over enhancements that can be used in many different contexts. SystemVerilog interface...

Like(1) Comments (0) Read more
Ask Us a Question
x
Ask Us a Question
x
Captcha ImageReload Captcha
Incorrect data entered.
Thank you! Your question has been submitted. Please allow 1-3 business days for someone to respond to your question.
Internal error occurred. Your question was not submitted. Please contact us using Feedback form.
We use cookies to ensure we give you the best user experience and to provide you with content we believe will be of relevance to you. If you continue to use our site, you consent to our use of cookies. A detailed overview on the use of cookies and other website information is located in our Privacy Policy.